关于EDA毕业设计论文好写吗

1.急需一篇关于 EDA的设计与开发的论文,如能提供,十分感谢

不知道你需要关于EDA什么的 给你提供下面这个,你看看行不? 1 引言 FPGA和CPLD是实现这一途径的主流器件,他们的特点是直接面向用户,具有极大的灵活性和通用性,使用方便,硬件测试和实现快捷,开发效率高,成本低,上市时间短,技术维护简单,工作可靠性好等,FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术以及对自动化设计实现最典型的诠释。

这类器件通常也被称为可编程专用IC,或可编程ASIC。 频率是电子测量中表征微波特性的一个基本参数,在微波的各个应用领域内的测量必不可少。

但是在实际应用过程中,频率计的功能通常比较单一,成本一般也比较高。目前,频率计正在向宽频带、高准确度、小型化、智能化、模块化以及适合于多种复杂信号环境、多种功能测量的方向发展。

频率计是电子技术中常用到的一种电子测量仪器,我们以往用的频率计大都是采用单元电路或单片机技术设计的,采用传统的手工设计发展而来的自底向上的设计方法。基于EDA技术设计的多功能频率计,依赖功能强大的单片机,利用硬件描述语言VHDL语言和EDA软件来完成对系统硬件功能的实现,打破了传统电子电路的设计方法。

本论文对频率计主控系统的设计采用VHDL硬件描述语言,此程序在MAX+plusII上编译、仿真后,制作出硬件电路板,在将程序下载到FPGA模块中实现。该多功能频率计利用FPGA来实现频率、脉宽、周期、占空比和相位差的测量计数。

采用自顶向下的设计方法.整个设计是从系统顶层开始的,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终目标器件。当今小型电子功用设备设计中,结合FPGA和单片机技术是开发常用仪器仪表的主流,结合应用领域的具体要求,在此时就调整设计方案,进行性能优化或折衷取舍。

随着设计层次向下进行,系统性能参数将得到进一步的细化与确认,随时可以根据需要加以调整,,从而保证了设计结果的正确性,缩短了设计周期,设计规模越大,应用这种技术可使设计过程大大简化,也有利于减小产品。 在电子工程,资源勘探,仪器仪表的实际应用中,频率计是工程技术人员必不可少的测量工具,本文正是介绍了基于FPGA和C51单片机,利用EDA技术开发的等精度频率计。

2 测频方案论证 2.1 方案一 直接测量法,即在一定的闸门时间内测量被测信号的脉冲个数,属于非等精度测量法。 在确定的闸门时间T内,通过计数器记录待测信号周期变化的次数N来确定信号的频率fx,即 fx=N/T;由于闸门开启和关闭的时间对于fx来说都是随机的,因而存在±1个脉冲周期的误差,测量相对误差为δ=Tx/T=1/(fx*T);由上式可以看出,在闸门时间固定的情况下,被测信号频率越高,δ越小,测量精确度越高。

因此要确保精确度的要求,直接测频法只适用于对高频信号的测频。一般用于测量频率高于10KHz的信号频率。

2.2 方案二 间接测量法,例如周期测频法、V-F转换法等。 间接测频法仅适用测量低频信号通过测量被测信号数个周期的时间,然后换算得出被测信号的频率,克服了测量精度对被测信号的依赖性。

其优点是:可在整个测频范围内获得同样高的测试精度和分辨率。它的核心思想是通过闸门信号与被测信号同步,将闸门时间t控制为被测信号周期长度的整数倍。

测量时,先打开预置闸门,当检测到被测信号脉冲沿到达时,标准信号时钟开始计数。预置闸门关闭时,标准信号并不立即停止计数,而是等检测到被测信号脉冲沿到达时才停止,完成被测信号整数个周期的测量。

测量的实际闸门时间与预置闸门时间可能不完全相同,但最大差值不超过被测信号的一个周期。 2.2.1 电路原理 等精度测频原理可以简单地用图3和波形图1来说明. 图3中”预置门控制号”CL可由单片机发出.可以证明,在0.1秒~1秒间选择的范围内,CL的时间宽度对测频精度几乎没有影响,在此设其宽度为Tpr,BZH和TF模块是两个可控的32位高速计数器,BENA和ENA分别是它们的计数允许信号端,高电平有效。

标准频率信号从BZH的时钟输入端BCLK输入,设其频率为Fs;经整形后的被测信号从与BZH相似的32位计数器TF的时钟输入端TCLK输入,设其真实频率值为Fxe,频率为Fx.等精度测频原理说明如下:。

2.各位,有没有好的关于EDA方面的毕业设计题目啊,,急用

可增可减的10进制计数器。

--***********************************************

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

--***********************************************

entity count10 is

port(

clr,en,updown,clk:in std_logic;

cyut std_logic;

qut std_logic_vector(3 downto 0)

);

end count10;

--***********************************************

architecture a of count10 is

signal q_tmp:std_logic_vector(3 downto 0);

begin

q

3.毕业论文和毕业设计都得必须写吗

我是计算机专业的毕业生,我来给你说说吧,源代码是必须要的,但是没人会把你的源代码从头到位检查一遍,更不会有人把你的源代码拿来编译,源代码必须要写入软盘然后和论文,翻译啊等东西一起交给导师。

至于能不能过,要看你和导师的关系了,象我答辩的时候,我的毕业论文都没有完成,随便敷衍了一下,哦对了,不要认为我这么说就认为我们学校是二流的,我们学校全国排名前10,我高考的时候高出重点线70分,其实毕业论文就是走个形式,你能把握好就行,这个时候都毕业了,不会有人来为难你,当然如果你自己分寸没把握好,老师看不爽你,或者本身这个老师很严格很严格,那就不排除你挂的可能了,另外就是答辩的时候要是被所谓的专家组抽到了,那就比较危险了,要好好准备。源代码可以copy,但是事先要和你的导师说清楚情况商量一下,而且这个copy要copy得恰当,恰到好处,我毕业的时候写的ndfs驱动,好像是叫这个,源代码都是copy下来的,我都没编译过,甚至没看过,但是导师大致看了下,觉得可以就行了,另外你和导师商量的时候语言要有技巧,不要随便就自己弄了,导师会不舒服的,你要知道你的导师是十分希望你能完成毕业设计和论文的,要不然他怎么办?你是他负责的也,还有,只要你做到位了,学校不会太为难你的,毕竟你毕业不了,直接减少了学校的毕业率了,最后祝你好运了。

4.求高手修改一下关于EDA的毕业设计

LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY basifre_product IS PORT ( clk_1kh : IN std_logic; fre_100h,fre_10h,fre_1h : OUT std_logic); END basifre_product; ARCHITECTURE stru OF basifre_product IS COMPONENT cont10 PORT( clk,rst,en : IN std_logic; count : OUT integer RANGE 0 TO 9 ; ca_rry : OUT std_logic); END COMPONENT ; SIGNAL vcc,gnd : std_logic; SIGNAL fre_100h_t,fre_10h_t : std_logic; BEGIN vcc clk_1kh ,rst => gnd ,en => vcc ,ca_rry => fre_100h_t ) ; u2 : cont10 PORT MAP ( clk => fre_100h_t ,rst => gnd ,en => vcc ,ca_rry => fre_10h_t ) ; u3 : cont10 PORT MAP ( clk => fre_10h_t ,rst => gnd ,en => vcc ,ca_rry => fre_1h ) ; fre_100h basi_fre_tmp basi_fre_tmp basi_fre_tmp basi_fre_tmp basi_fre_tmp basi_fre_tmp, cd => rst_mux_basifre,en => vcc, q => basi_fre); END beha_basifre ; --follow is single impluse productor, LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY t IS PORT (cp,cd : IN std_logic; q : OUT std_logic); END t; ARCHITECTURE beha OF t IS SIGNAL qq : std_logic; BEGIN PROCESS (cp,cd) BEGIN IF cd = '1' THEN qq led_data_cont led_data_cont led_data_cont led_data_cont extingguish_cont CASE led_scale_t IS WHEN 0 | 3 => led_data_scale led_data_scale extingguish_scale extingguish_scale CASE led_scale_t IS WHEN 0 | 3 => led_data_scale led_data_scale led_data_scale extingguish_scale CASE led_scale_t IS WHEN 0 | 1 => led_data_scale led_data_scale extingguish_scale led_data_scale extingguish_scale CASE DATA IS WHEN 0 => DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R CASE DATA IS WHEN 0 => DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R CASE DATA IS WHEN 0 => DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R DISPLAY_R IF POINT = '1' THEN DISPLAY_R DISPLAY_R 评论0 0 0。

5.求高手修改一下关于EDA的毕业设计

LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY basifre_product IS PORT ( clk_1kh : IN std_logic; fre_100h,fre_10h,fre_1h : OUT std_logic); END basifre_product; ARCHITECTURE stru OF basifre_product IS COMPONENT cont10 PORT( clk,rst,en : IN std_logic; count : OUT integer RANGE 0 TO 9 ; ca_rry : OUT std_logic); END COMPONENT ; SIGNAL vcc,gnd : std_logic; SIGNAL fre_100h_t,fre_10h_t : std_logic; BEGIN vcc <= '1' ; gnd <= '0' ; u1 : cont10 PORT MAP ( clk => clk_1kh ,rst => gnd ,en => vcc ,ca_rry => fre_100h_t ) ; u2 : cont10 PORT MAP ( clk => fre_100h_t ,rst => gnd ,en => vcc ,ca_rry => fre_10h_t ) ; u3 : cont10 PORT MAP ( clk => fre_10h_t ,rst => gnd ,en => vcc ,ca_rry => fre_1h ) ; fre_100h <= fre_100h_t ; fre_10h <= fre_10h_t ; END stru; --follow is mux6_1,and output is a single impluse,namely, different basic frequency LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY mux_basifre IS PORT ( fre_1kh,fre_100h,fre_10h,fre_1h : IN std_logic ; rst_mux_basifre,en : IN std_logic ; sel_fre : IN integer RANGE 0 TO 3; basi_fre : OUT std_logic ); END mux_basifre; ARCHITECTURE beha_basifre OF mux_basifre IS COMPONENT single_clk PORT (cp,cd,en : IN std_logic; q : OUT std_logic); END COMPONENT ; SIGNAL basi_fre_tmp,vcc : std_logic ; BEGIN vcc <= '1' ; PROCESS(fre_1kh,fre_100h,fre_10h,fre_1h,en,sel_fre) BEGIN IF en = '0' THEN basi_fre_tmp <= '0' ; ELSE CASE sel_fre IS WHEN 0 => basi_fre_tmp <= fre_1h ; WHEN 1 => basi_fre_tmp <= fre_10h ; WHEN 2 => basi_fre_tmp <= fre_100h ; WHEN 3 => basi_fre_tmp <= fre_1kh ; WHEN OTHERS => basi_fre_tmp <= '0' ; END CASE ; END IF ; END PROCESS ; single_impluse : single_clk PORT MAP (cp => basi_fre_tmp, cd => rst_mux_basifre,en => vcc, q => basi_fre); END beha_basifre ; --follow is single impluse productor, LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY t IS PORT (cp,cd : IN std_logic; q : OUT std_logic); END t; ARCHITECTURE beha OF t IS SIGNAL qq : std_logic; BEGIN PROCESS (cp,cd) BEGIN IF cd = '1' THEN qq<= '0' ; ELSIF rising_edge(cp) THEN qq<= NOT qq ; END IF ; END PROCESS ; q<=qq; END beha; LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY d IS PORT (cp,cd : IN std_logic; q : OUT std_logic); END d; ARCHITECTURE beha OF d IS SIGNAL qq : std_logic; BEGIN PROCESS (cp,cd) BEGIN IF cd = '1' THEN qq<= '0' ; ELSIF rising_edge(cp) THEN qq<= '1'; END IF ; END PROCESS; q<=qq; END beha; LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY single_clk IS PORT (cp,cd,en: IN std_logic; q : OUT std_logic); END single_clk; ARCHITECTURE stru OF single_clk IS COMPONENT t PORT (cp,cd : IN std_logic; q : OUT std_logic); END COMPONENT ; COMPONENT d PORT (cp,cd : IN std_logic; q : OUT std_logic); END COMPONENT ; SIGNAL q1,q2,q11,cpt : std_logic; BEGIN q11 <= NOT q1; cpt <= cp AND en ; u1: t PORT MAP (cp,cd,q1); u2: d PORT MAP ( q11,cd,q2); q <= q1 AND (NOT q2) ; END stru; --FOLLOW IS EXTER FREQUENCY CPUMTER LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY exter_fre IS PORT ( clk_exter,rst_ex_fre,en : IN std_logic ; cont1,cont2,cont3,cont4 : OUT integer RANGE 0 TO 9 ; ex_ov_l,ex_ov_h : OUT std_logic); END exter_fre; ARCHITECTURE stru OF exter_fre IS COMPONENT cont10 PORT( clk,rst,en : IN std_logic; count : OUT integer RANGE 0 TO 9 ; ca_rry : OUT std_logic); END COMPONENT ; SIGNAL carry1,carry2,carry3 : std_logic; SIGNAL cont4_t,cont3_t : integer RANGE 0 TO 9 ; SIGNAL ex_ov_h_t : std_logic; BEGIN u1 : cont10 PORT MAP (clk_exter , rst_ex_fre , en , cont1 , carry1 ) ; u2 : cont10 PORT MAP ( carry1 , rst_ex_fre , en , cont2 , carry2 ) ; u3 : cont10 PORT MAP ( carry2 , rst_ex_fre , en , cont3_t, carry3 ) ; u4 : cont10 PORT MAP ( carry3 , rst_ex_fre , en , cont4_t, ex_ov_h_t ) ; cont3 <= cont3_t ; cont4 <= cont4_t ; ex_ov_l <= '1' WHEN (cont3_t = 0) AND (cont4_t = 0) ELSE '0' ; PROCESS (ex_ov_h_t,rst_ex_fre) BEGIN IF rst_ex_fre = '1' THEN ex_ov_h <= '0' ; ELSIF rising_edge(ex_ov_h_t) THEN ex_ov_h <= '1' ; END IF ; END PROCESS ; END stru; --follow is 10 counter LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY cont10 IS PORT( clk,rst,en : IN std_logic; count : OUT integer RANGE 0 TO 9 ; ca_rry : OUT std_logic); END cont10; ARCHITECTURE beha OF cont10 IS SIGNAL count_tem : integer RANGE 0 TO 9 ; BEGIN PROCESS(clk,rst,en) BEGIN IF rst = '1' THEN count_tem <= 0 ; ca_rry <= '0' ; ELSIF rising_edge(clk) THEN IF en 。

关于EDA毕业设计论文好写吗

转载请注明出处众文网 » 关于EDA毕业设计论文好写吗

资讯

漫画专业毕业论文

阅读(84)

本文主要为您介绍漫画专业毕业论文,内容包括求个动漫专业的毕业论文,动漫专业的毕业论文怎么写,求一篇动漫设计专业论文。动画设计中对2D方面的色彩研究内容摘要:近些年来,我国的动画片色彩设计有了长足的进步,表现在创作者能够吸收和借鉴各种

资讯

化工专业毕业论文选题

阅读(79)

本文主要为您介绍化工专业毕业论文选题,内容包括急需应用化工技术专业的论文题目越多越好,谁给我几个有关化学论文的题目,化工专业的论文选什么题目比较好。石油化工生产技术专业论文题目:1. 中国的石油中化工产业现状与竞争力分析2. 中国的

资讯

本科毕业论文中的文献综述

阅读(90)

本文主要为您介绍本科毕业论文中的文献综述,内容包括本科毕业论文的文献综述怎么写,本科毕业论文开题报告中文献综述怎么写,本科生论文什么是研究文献综述呢。文献综述也称研究综述,是指在全面掌握、分析某一学术问题 (或研究领域) 相关文献的

资讯

英国毕业论文69分水平

阅读(95)

本文主要为您介绍英国毕业论文69分水平,内容包括你好,英国杜伦大学,目前大一论文成绩只有66分,69分,是不是表明,英国硕士毕业论文达到66分难吗,澳洲论文和英国论文评分标准是什么英国毕业论文60分相当于澳洲多。英国的本科学位成绩划分本科

资讯

毕业论文中国制造

阅读(78)

本文主要为您介绍毕业论文中国制造,内容包括中国制造业发展方向论文800字,论文《对中国制造的理解》,新手求论文,《论中国制造业未来发展趋势》,可从大方向上概括,。中国制造业未来发展趋势 今年是中国加入WTO第十一年,这期间,中国经济从全盘

资讯

p2p网络借贷毕业论文

阅读(90)

本文主要为您介绍p2p网络借贷毕业论文,内容包括本科毕业论文《互联网金融监管问题研究—以p2p网络借贷为例》大佬,p2p网络借贷论文应该从哪些方面入手写,有关p2p网贷的论文要怎么写。对等网络(Peer to Peer,简称P2P)又称点对点技术,是无中心服

资讯

java坦克大战毕业论文

阅读(94)

本文主要为您介绍java坦克大战毕业论文,内容包括JAVA坦克大战,JAVA坦克大战,坦克大战的java源码,最好是界面好看一点的,网上找了好久都是重复。import java.awt.*;import javax.swing.*;public class Tank extends JFr

资讯

上虞文秘毕业论文

阅读(88)

本文主要为您介绍上虞文秘毕业论文,内容包括文秘毕业论文,文秘专业的毕业论文,关于文秘专业的论文。文秘写作,根据理论联系实际的原则,通过老师讲授写作,有规范,有步骤地、系统地加强写作训练,提高中英文秘写作水平,其内容要观点正确,感情健

资讯

毕业论文案例分析古代文学

阅读(84)

本文主要为您介绍毕业论文案例分析古代文学,内容包括求古代文学毕业论文选题,古代文学的论文题目有什么,举实例分析中国古代神话对后世文学的影响。中国古代神话所体现的民族精神刍议 2、论中国古代神话的演变 3、中国古代神话的美学意义 4

资讯

电子血压计毕业论文

阅读(82)

本文主要为您介绍电子血压计毕业论文,内容包括毕业设计基于单片机的电子血压计,程序感觉问题不大,可为什么没有,我的毕业论文是血压剂的分类及对人体的影响.该怎么写,求一篇应用电子专业论文。电力电子技术在输电领域的应用谭恢曾 ,谭晓天(1

资讯

毕业论文估车价系统

阅读(83)

本文主要为您介绍毕业论文估车价系统,内容包括求一篇旧机动车评估相关的毕业论文总结,求一篇关于汽车方面的毕业论文1万字左右谢谢了,大学生发表论文价格。旧机动车评估及其信息化研究 摘要:旧机动车的评佑是其交易中的重要环节,文中首先简要

资讯

帮男朋友写毕业论文

阅读(86)

本文主要为您介绍帮男朋友写毕业论文,内容包括男友让我帮他写论文,我应该怎么拒绝呢,男朋友要我帮他写论文,我不想,我这样做有错吗,我今天刚毕业,我男朋友比我晚一年毕业,他现在要写毕业论文了,。如果想要拒绝男友的请求,其实可以有很多方法

资讯

药店毕业论文框架

阅读(111)

本文主要为您介绍药店毕业论文框架,内容包括急求药店毕业论文5000字的,药品经营的毕业论文怎么写啊,医药的论文怎样写。论药店服务创新的类型与过程管理 摘要目的:为我国药店服务创新提供参考。方法:分析我国药店服务创新的现 状及意义,探讨药

资讯

毕业论文一稿什么意思

阅读(95)

本文主要为您介绍毕业论文一稿什么意思,内容包括论文一稿是什么意思是论文的一部分还是什么,论文的一稿二稿是什么意思,这个论文的稿一稿二稿三是什么意思。初稿简单说就是大致的草稿,这时的文章初步成型,但有很多内容需要填充和完善。二稿就

资讯

漫画专业毕业论文

阅读(84)

本文主要为您介绍漫画专业毕业论文,内容包括求个动漫专业的毕业论文,动漫专业的毕业论文怎么写,求一篇动漫设计专业论文。动画设计中对2D方面的色彩研究内容摘要:近些年来,我国的动画片色彩设计有了长足的进步,表现在创作者能够吸收和借鉴各种

资讯

化工专业毕业论文选题

阅读(79)

本文主要为您介绍化工专业毕业论文选题,内容包括急需应用化工技术专业的论文题目越多越好,谁给我几个有关化学论文的题目,化工专业的论文选什么题目比较好。石油化工生产技术专业论文题目:1. 中国的石油中化工产业现状与竞争力分析2. 中国的

资讯

本科毕业论文中的文献综述

阅读(90)

本文主要为您介绍本科毕业论文中的文献综述,内容包括本科毕业论文的文献综述怎么写,本科毕业论文开题报告中文献综述怎么写,本科生论文什么是研究文献综述呢。文献综述也称研究综述,是指在全面掌握、分析某一学术问题 (或研究领域) 相关文献的

资讯

英国毕业论文69分水平

阅读(95)

本文主要为您介绍英国毕业论文69分水平,内容包括你好,英国杜伦大学,目前大一论文成绩只有66分,69分,是不是表明,英国硕士毕业论文达到66分难吗,澳洲论文和英国论文评分标准是什么英国毕业论文60分相当于澳洲多。英国的本科学位成绩划分本科

资讯

毕业论文中国制造

阅读(78)

本文主要为您介绍毕业论文中国制造,内容包括中国制造业发展方向论文800字,论文《对中国制造的理解》,新手求论文,《论中国制造业未来发展趋势》,可从大方向上概括,。中国制造业未来发展趋势 今年是中国加入WTO第十一年,这期间,中国经济从全盘

资讯

p2p网络借贷毕业论文

阅读(90)

本文主要为您介绍p2p网络借贷毕业论文,内容包括本科毕业论文《互联网金融监管问题研究—以p2p网络借贷为例》大佬,p2p网络借贷论文应该从哪些方面入手写,有关p2p网贷的论文要怎么写。对等网络(Peer to Peer,简称P2P)又称点对点技术,是无中心服

资讯

java坦克大战毕业论文

阅读(94)

本文主要为您介绍java坦克大战毕业论文,内容包括JAVA坦克大战,JAVA坦克大战,坦克大战的java源码,最好是界面好看一点的,网上找了好久都是重复。import java.awt.*;import javax.swing.*;public class Tank extends JFr

资讯

毕业论文电子版模板

阅读(123)

本文主要为您介绍毕业论文电子版模板,内容包括什么是电子版毕业论文格式,完整格式论文论文最基本格式一篇完整的论文该如何安排,最基本的,毕业论文终稿电子版格式有错误,会有影响吗。毕业论文格式要求 1。论文总篇幅:字数控制在5000到8000字