智能电子密码锁毕业设计论文(要一份电子密码锁设计的论文3000字左右标准格式有摘要关键词和参)

1.要一份电子密码锁设计的论文 3000字左右 标准格式 有摘要关键词和参

电子密码锁 摘要 本文的电子密码锁利用数字逻辑电路,实现对门的电子控制,并且有各种附加电路保证电路能够安 工作,有极高的安全系数。

关键词 电子密码锁 电压比较器 555单稳态电路 计数器 JK触发器 UPS电源。1 引言 随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的亲呢。

设计本课题时构思了两种方案:一种是用以AT89C2051为核心的单片机控制方案;另一种是用以74LS112双JK触发器构成的数字逻辑电路控制方案。考虑到单片机方案原理复杂,而且调试较为繁琐,所以本文采用后一种方案。

2 总体方案设计2.1设计思路 共设了9个用户输入键,其中只有4个是有效的密码按键,其它的都是干扰按键,若按下干扰键,键盘输入电路自动清零,原先输入的密码无效,需要重新输入;如果用户输入密码的时间超过40秒(一般情况下,用户不会超过40秒,若用户觉得不便,还可以修改)电路将报警80秒,若电路连续报警三次,电路将锁定键盘5分钟,防止他人的非法操作。2.2总体方框图 3 设计原理分析 电路由两大部分组成:密码锁电路和备用电源(UPS),其中设置UPS电源是为了防止因为停电 造成的密码锁电路失效,使用户免遭麻烦。

密码锁电路包含:键盘输入、密码修改、密码检测、开锁电路、执行电路、报警电路、键盘输入次数锁定电路。3.1 键盘输入、密码修改、密码检测、开锁及执行电路 . 其电路如下图1所示: 图1 键盘输入、密码修改、密码检测、开锁、执行电路 开关K1~K9是用户的输入密码的键盘,用户可以通过开关输入密码,开关两端的电容是为了提高开关速度,电路先自动将IC1~IC4清零,由报警电路送来的清零信号经C25送到T11基极,使T11导通,其集电极输出低电平,送往IC1~IC4,实现清零。

密码修改电路由双刀双掷开关S1~S4组成(如图2所示), 它是利用开关切换的原理实现密码的修改。例如要设定密码为1458,可以拨动开关S1向左,S2向右,S3向左,S4向右,即可实现密码的修改,由于输入的密码要经过S1~S4的选择,也就实现了密码的校验。

本电路有16组的密码可供修改。 图2 密码修改电路 由两块74LS112(双JK触发器,包含IC1~IC4)组成密码检测电路。

由于IC1处于计数状态,当用户按下第一个正确的密码后,CLK端出现了一个负的下降沿,IC1计数,Q端输出为高电平,用户依次按下有效的密码,IC2~IC3也依次输出高电平,送入与门IC5,使其输出开锁的高电平信号送往IC13的2脚,执行电路动作,实现开锁。执行电路是由一块555单稳态电路(IC13),以及由T10、T11组成的达林顿管构成。

若IC13的2脚输入一高电平,则3脚输出高电平,使T10导通,T11导通,电磁阀开启,实现开门,同时T10集电极上接的D5(绿色发光二极管)发亮,表示开门,20秒后,555电路状态翻转,电磁阀停止工作,以节电。其中电磁阀并联的电容C24使为了提高电磁阀的力矩。

3.2 报警电路 报警电路实现的功能是:当输入密码的时间超过40秒(一般情况下用户输入不会超过),电路报警80秒,防止他人恶意开锁。电路包含两大部分,2分钟延时和40秒延时电路。

其工作原理是当用户开始输入密码时,电路开始2分钟计时,超出40秒,电路开始80秒的报警。如图3所示 图3 报警电路 有人走近门时,触摸了TP端(TP端固定在键盘上,其灵敏度非常高,保证电路可靠的触发),由于人体自身带的电,使IC10的2脚出现低电平,使IC10的状态发生翻转,其3脚输出高电平,T5导通(可以通过R12控制T1的基极电流),其集电极接的黄色发光二极管D3发光,表示现在电子锁处于待命状态,T6截止,C4开始通过R14充电(充电时间是40秒,此时为用户输入密码的时间,即用户输入密码的时间不能超过40秒,否则电路就开始报警, 由于用户经常输入密码,而且知道密码,一般输入密码的时间不会超过40秒),IC2开始进入延时40秒的状态。

开始报警:当用户输入的密码不正确或输入密码的时间超过40秒,IC11的2脚电位随着C4的充电而下降,当电位下降到1/3Vcc时(即40秒延时结束时候),3脚变成高电位(延时时是低电平),通过R15使(R15的作用是为了限制T7的导通电流防止电流过大烧毁三极管)T7导通,其集电极上面接的红色发光二极管D4发亮,表示当前处于报警状态,T8也随之而导通,使蜂鸣器发声,令贼人生怯,实现报警.停止报警:当达到了80秒的报警时间,IC10的6,7脚接的电容C5放电结束,IC10的3脚变成低电平,T5截止,T6导通,强制使强制电路处于稳态,IC11的3脚输出低电平,使T7,T8截止,蜂鸣器停止报警;或者用户输入的密码正确,则有开锁电路中的T10集电极输出清除报警信号,送至T12(PNP),T12导通,强制使T7基极至低电位,解除报警信号。3.3 报警次数检测及锁定电路 若用户操作连续失误超过3次,电路将锁定5分钟。

其工作原理如下:当电路报警的次数超过3次,由IC9(74161)构成的3位计数器将产生进位,通过IC7,输出清零信号送往74161的清零端,以。

智能电子密码锁设计论文5000,多功能电子密码锁毕业设计,单片机电子密码锁毕业设计

2.EDA 电子密码锁设计

“很不好意思,今天刚刚得到了毕设答辩通知,时间很仓促了。

而且这个vhdl语言现在也生疏了,弄了半天也没好。真的是给你整不了这个了。

你找个高手帮忙修改一下吧” 这下面这个是在网上找到的一个,你也试着改改,偶们现在在做毕设,时间很紧。library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -------------------------------------------------------------------- entity exp19 is port( Clk : in std_logic; --时钟信号 Rst : in std_logic; --复位信号 Kr : in std_logic_vector(3 downto 0); --键盘行 Kc : buffer std_logic_vector(3 downto 0); --键盘列 SPK : out std_logic; --扬声器输出 KEY_State : out std_logic; --按键指示 Door : buffer std_logic; --门状态 Display : out std_logic_vector(7 downto 0); --七段码管显示 SEG_SEL : buffer std_logic_vector(2 downto 0)); --七段码管片选 end exp19; -------------------------------------------------------------------- architecture behave of exp19 is signal keyr,keyc : std_logic_vector(3 downto 0); signal kcount : std_logic_vector(2 downto 0); signal kflag1,kflag2 : std_logic; signal buff1,buff2,buff3,buff4,buff5,buff6 : integer range 0 to 15; signal push_num : integer range 0 to 15; --按键次数 signal Disp_Temp : integer range 0 to 15; signal Disp_Decode : std_logic_vector(7 downto 0); signal SEC1,SEC10 : integer range 0 to 9; signal Clk_Count1 : std_logic_vector(3 downto 0); --1KHz时钟分频计数器 signal Clk_Count2 : std_logic_vector(9 downto 0); --2Hz时钟分频计数器 signal Clk1KHz : std_logic; signal Clk2Hz : std_logic; signal Clk1Hz : std_logic; signal Error_Num : integer range 0 to 3; signal Error_Flag : std_logic; signal Error_Count : std_logic_vector(2 downto 0); signal Music_Count : std_logic_vector(2 downto 0); begin process(Clk) begin if(Clk'event and Clk='1') then if(Clk_Count1<10) then Clk_Count1<=Clk_Count1+1; else Clk_Count1<="0001"; end if; end if; end process; Clk1KHz<=Clk_Count1(2); process(Clk1KHz) begin if(Clk1KHz'event and Clk1KHz='1') then if(Clk_Count2<1000) then Clk_Count2<=Clk_Count2+1; else Clk_Count2<="0000000001"; end if; end if; end process; Clk2Hz<=Clk_Count2(9); process(Clk2Hz) begin if(Clk2Hz'event and Clk2Hz='1') then Clk1Hz<=not Clk1Hz; end if; end process; process(Clk1KHz) --扫描键盘 begin if(Clk1KHz'event and Clk1KHz='1') then if(Kr="1111") then kflag1<='0'; kcount<=kcount+1; if(kcount=0) then kc<="1110"; elsif(kcount=1) then kc<="1101"; elsif(kcount=2) then kc<="1011"; else kc<="0111"; end if; else kflag1<='1'; keyr<=Kr; keyc<=Kc; end if; kflag2<=kflag1; end if; end process; KEY_State<=kflag1; process(Clk1KHz,Rst) --扫描键盘 begin if(Rst='0') then push_num<=0; elsif(Clk1KHz'event and Clk1KHz='1') then if(push_num=6) then push_num<=0; elsif(kflag1='0' and kflag2='1') then push_num<=push_num+1; end if; end if; end process; process(Clk1KHz,Rst) --密码校验 begin if(Rst='0') then Door<='0'; Error_Num<=0; Error_Flag<='0'; elsif(Clk1KHz'event and Clk1KHz='1') then if(push_num=5 and Error_Num<3) then --修改此处的值可修改门的密码,此处密码为123456 if(buff1=1 and buff2=2 and buff3=3 and buff4=4 and buff5=5 and buff6=6) then Door<='1'; else Door<='0'; end if; elsif(push_num=6 and Error_Num<3) then if(Door='0') then Error_Flag<='1'; Error_Num<=Error_Num+1; else Error_Flag<='0'; Error_Num<=0; end if; elsif(Error_Count=4) then Error_Flag<='0'; elsif(Error_Flag='1') then Door<=not Error_Count(0); end if; end if; end process; process(Clk2Hz,Rst) begin if(Rst='0' or Error_Flag<='0') then Error_Count<="000"; elsif(Clk2Hz'event and Clk2Hz='1' and Error_Flag<='1') then Error_Count<=Error_Count+1; end if; end process; process(Clk) --报警声音分频 begin if(Clk'event and Clk='1') then Music_Count<=Music_Count+1; end if; end process; process(Clk) --超出错误次数,开始报警 begin if(Error_Num>=3) then if(Clk1Hz='1') then SPK<=Music_Count(2); else SPK<=Music_Count(1); end if; end if; end process; process(Clk1KHz,Rst) --显示右移 begin if(Rst='0' or push_num=0) then --复位时,全灭 buff1<=15; buff2<=15; buff3<=15; buff4<=15; buff5<=15; elsif(Clk1KHz'event and Clk1KHz='1') then if(kflag1='1' and kflag2='0' and (((keyr="1110" or keyr="1011")and keyc/="0111")or keyr="1101")) then buff1<=buff2; buff2<=buff3; buff3<=buff4; buff4<=buff5; buff5<=buff6; end if; end if; end process; process(Clk1KHz,Rst) -- 获取键值 begin if(Rst='0' or push_num=6) then --全灭 buff6<=15; elsif(Clk1KHz'event and Clk1KHz='1') then if(kflag1='1' and kflag2='0') then if(keyr="1110") then case keyc is when "1110"=>buff6<=1; when "1101"=>buff6<=4; when "1011"=>buff6<=7; when others。

密码锁,毕业设计,智能,论文

3.电子密码锁文献综述

关键词:电子密码锁;FPGA;硬件描述语言;EDA目 录1 绪 论 11.1 国内外现状及其发展 11.2 电子密码锁的原理 21.3 电子密码锁的系统简介 41.4 系统设计要求 41.5 本课题的研究目的和意义 52 现场可编程门阵列FPGA 62.1 FPGA的基本结构 62.2 FPGA的优点 102.3 FPGA的设计流程 112.4 自顶向下设计法 172.5用模块化设计FPGA 183 VHDL硬件描述语言 203.1 VHDL语言的基本结构 203.2 结构体的描述方式 213.3 自上而下(TOP DOWN)的设计方法 224 电子密码锁的设计与仿真 244.1 硬件设备 244.2 几个主要功能模块的设计 254.3 计算机仿真 32结 束 语 38参考文献 39附录1英文原文 41附录2中文译文 50附录3源程序 571.1 国内外现状及其发展随着人们生活水平的提高和安全意识的加强,对安全的要求也就越来越高。

锁自古以来就是把守护门的铁将军,人们对它要求甚高,既要安全可靠的防盗,又要使用方便,这也是制锁者长期以来研制的主题。随着电子技术的发展,各类电子产品应运而生,电子密码锁就是其中之一。

据有关资料介绍,电子密码锁的研究从20世纪30年代就开始了,在一些特殊场所早就有所应用。这种锁是通过键盘输入一组密码完成开锁过程。

研究这种锁的初衷,就是为提高锁的安全性。由于电子锁的密钥量(密码量)极大,可以与机械锁配合使用,并且可以避免因钥匙被仿制而留下安全隐患。

电子锁只需记住一组密码,无需携带金属钥匙,免除了人们携带金属钥匙的烦恼,而被越来越多的人所欣赏。电子锁的种类繁多,例如数码锁,指纹锁,磁卡锁,IC卡锁,生物锁等。

但较实用的还是按键式电子密码锁。20世纪80年代后,随着电子锁专用集成电路的出现,电子锁的体积缩小,可靠性提高,成本较高,是适合使用在安全性要求较高的场合,且需要有电源提供能量,使用还局限在一定范围,难以普及,所以对它的研究一直没有明显进展。

目前,在西方发达国家,电子密码锁技术相对先进,种类齐全,电子密码锁已被广泛应用于智能门禁系统中,通过多种更加安全,更加可靠的技术实现大门的管理。在我国电子锁整体水平尚处于国际上70年代左右,电子密码锁的成本还很高,市场上仍以按键电子锁为主,按键式和卡片钥匙式电子锁已引进国际先进水平,现国内有几个厂生产供应市场。

但国内自行研制开发的电子锁,其市场结构尚未形成,应用还不广泛。国内的不少企业也引进了世界上先进的技术,发展前景非常可观。

希望通过不断的努力,使电子密码锁在我国也能得到广泛应用[1]。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软件方式[2]。

在实际应用中,由于程序容易跑飞,系统的可靠性能较差。基于现场可编程逻辑门阵列FPGA器件的电子密码锁,用FPGA器件构造系统,所有算法完全由硬件电路来实现,使得系统的工作可靠性大为提高。

由于FPGA具有现场可编程功能,当设计需要更改时,只需更改FPGA中的控制和接口电路,利用EDA工具将更新后的设计下载到FPGA中即可,无需更改外部电路的设计,大大提高了设计的效率。1.3 电子密码锁的系统简介通用的电子密码锁主要由三个部分组成:数字密码输入电路、密码锁控制电路和密码锁显示电路。

(1) 密码锁输入电路包括时序产生电路、键盘扫描电路、键盘弹跳消除电路、键盘译码电路等几个小的功能电路。(2)密码锁控制电路包括按键数据的缓冲存储电路,密码的清除、变更、存储、激活电锁电路(寄存器清除信号发生电路),密码核对(数值比较电路),解锁电路(开/关门锁电路)等几个小的功能电路。

(3)密码显示电路主要将显示数据的BCD码转换成相对应的编码。如,若选用七段数码管显示电路,主要将待显示数据的BCD码转换成数码器的七段显示驱动编码[4]。

1.4 系统设计要求设计一个具有较高安全性和较低成本的通用电子密码锁,具体功能要求如下:(1)数码输入:每按下一个数字键,就输入一个数值,并在显示器上的显示出该数值,同时将先前输入的数据依序左移一个数字位置。(2)数码清除:按下此键可清除前面所有的输入值,清除为“0000”。

(3)密码更改:按下此键时会将目前的数字设定成新的密码。(4)激活电锁:按下此键可将密码锁上锁。

(5)解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。1.5 本课题的研究目的和意义随着人们生活水平的提高,对家庭防盗技术的要求也是越来越高,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的欢迎。

现在市场上主要是基于单片机技术的电子密码锁,但可靠性较差。FPGA即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物,是一种超大规模集成电路,具有对电路可重配置能力。

通常FPGA都有着上万次的重写次数,也就是说现在的硬件设计和软件设计一样灵活、方便。相对于基于单片机技术的电子密码锁,用FPGA器件来构成系统,可靠性提高,并且由于FPGA具有的现场可编程功能,使得电子密码锁的更改与升级更为方便简单[3]。

4.简易密码锁 课程设计

可以根据你的要求我们具体给你做。提供论文 实物 电路图 程序和一些列的指导等。

电子密码锁

摘要 本文的电子密码锁利用数字逻辑电路,实现对门的电子控制,并且有各种附加电路保证电路能够安

工作,有极高的安全系数。

关键词 电子密码锁 电压比较器 555单稳态电路 计数器 JK触发器 UPS电源。

1 引言

随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的亲呢。

设计本课题时构思了两种方案:一种是用以AT89C2051为核心的单片机控制方案;另一种是用以74LS112双JK触发器构成的数字逻辑电路控制方案。考虑到单片机方案原理复杂,而且调试较为繁琐,所以本文采用后一种方案。

5.电子密码锁设计论文

红外线遥控12位电子密码锁的设计 摘要]采用密码锁专用集成电路设计的红外线遥控电子密码锁,具有密码预置、保密性强、误码报警、耗 电省等特点,适合住宅、办公室用锁要求,有实际开发价值。

[关键词]红外线遥控;电子密码锁;发射器;接收器 0引言 电子密码锁以其使用方便、功能齐全、安全可靠 等优点,受到人们的喜爱。尤其是采用遥控技术的电 子密码锁更受人们的欢迎。

电子密码锁种类繁多,各 具特色,所使用的电路各式各样。如有采用数字比较 器等数字集成电路设计的普通型电子密码锁,也有采 用单片机设计的智能化电子密码锁。

本文采用密码锁 专用集成电路设计电子密码锁。 1遥控电子密码锁的电路组成 遥控电子密码锁由红外发射器、红外接收器和密 码锁三部分组成,如图1所示。

遥控系统采用双音多 频(DTMF)信号专用发生器集成电路S2559及其配套 的专用接收的集成电路MC145436构成的红外遥控系 统。电子密码锁采用专用集成电路ZH9437。

2遥控电子密码锁的工作原理 将发射器对准接收器的接收头,按下发射器键盘 中的某一按键时,发射器的红外发射二极管就发射出与该按钮对应的DTMF信号。接收器按光电转换后,信 号先放大,然后送到与专用DTMF信号发生器S2559配 套的专用DTMF信号接收器MC145436进行解调,检出 用四位二进制码表示的指令信号,再送到译码器进行 译码,把指令信号的数码分配到相应的1 2个输出端。

事先,电子密码锁电路ZH9437中已输入并存储了12 位密码。如使用者按照它所储存的12位密码顺序依次 输入,它就输出开锁脉冲,进行开锁;如按错三次,则 发出长达6 0秒的报警信号。

2.1红外发射器 红外发射电路由IC 1 (S2559)及3X4矩阵按钮键盘 为主组成,如图2所示。核心元件S2559是DTMF信号 产生的专用集成电路[1]156-161。

S2559的技术参数如下: 工作电压为2.5~10V;静态工作电流为0.4~1.5μA; 输出驱动电流为1~10mA。 2.2红外接收器 红外接收电路由接收放大电路和解调电路组成, 如图3所示。

由于16脚直接输出的DTMF信号一般只有几百毫 伏,不能直接驱动红外线发光二极管发出DTMF信号, 因此,必须采用达林顿管输出方式进行功率放大,然 后才能驱动红外发光二极管发出D TMF信号。 为了保证运算放大器输出电压有较大的动态范围, 在静态时,应将输出端电位设置在1/2V DD 处。

所用两个 10K电阻(即R 4 R 5 )对电源进行1/2分压,并将1/2V DD 电压移引至LM358的同相输入端,相当于运算放大器 的输入偏置电压为1/2V DD ,从而使输出电压为1/2V DD 。 信号由C 2 进入IC 2 ,经过两级反相放大后,总增益 为A=A 1 A 2 =(1MΩ/10KΩ)2=104(A 1 =A 2 =-R6/R3)。

(2)解调电路。电路由专用集成电路IC 3 (MC145436) 为核心组成[1]164-168,它将双音频选频电路与指令解调 电路集于一体,主要由拨号音滤波器、前置放大器、高 低频组信号分离器、输入译码器、基准时钟振荡器等 组成。

经放大电路放大后的DTMF信号由IC 2 的7脚输入, (1)接收放大电路。以集成运算放大器LM358为 核心构成了接收前置放大电路。

当V D 2 接收到由发射 器发射的经DTMF调制的红外光信号时,就将红外线的 光信号转换为相应频率的电信号,这一信号耦合到IC 2 (LM358)上作两级运放。 首先经拨号音滤波器将DTMF信号以外的噪声滤除掉, 以提高电路抗干扰性能,然后经过前置放大器进行放 大。

检出有效信号后启动数据有效输出端12脚输出高 电平。同时D 0 ~D 3 (2、1、14、13脚)输出四位二进 制码。

D 0 ~D 3 输出的指令信号是由输出数据译码器对 电平幅度检测器输出的信号进行译码得到的。 IC 3 的9脚和10脚之间并联晶体的谐振频率为 3.579MHZ,与内部反相器构成晶体振荡器,产生本电 路所需的时钟信号。

IC 3 的5脚(GT)为保持时间输入 端,4脚(V DD )和8脚(V SS )分别为电源正极和负极。 IC 3 的2、1、14、13脚输出的四位二进制码,再经IC 4 (CD4514)译码为16个指令码输出。

CD4514是4位锁存/4-16线译码器、数据分配器, 有16位高电平锁存输出功能。它把IC 3 送来的8421码 译码后,将指令信号的数码分配到相应的输出端。

这 里只采用它的S 1 ~S 12 等12根输出线。由于采用继电 器,再加上驱动电路,选用两片MC1413,每片内部具 有7个独立的达林顿驱动管,作为输出级用。

经过红外发射电路和红外接收电路后,从遥控器 按钮产生信号到相应的继电器吸合,从而完成了指令 的空间传递。 2.3密码锁电路 密码锁电路是开锁的具体执行者,核心元件是 IC 7 (ZH9437)[2]。

密码锁电路如图4所示。IC 7 的5、6、7、8脚为横向信号输入端,10、11脚为纵向信号输入 端。

将输入信号与已存的密码进行自动对比判断,如 遇到错误输入信号,即由15脚向外接高响度喇叭输出 报警信号;如输入信号正确,则由17脚输出开锁信号。 其内部对比的标准是预先输入的密码。

密码锁电路拥 有1 0亿组密码总量,随机捕捉密码开锁的概率极低, 同时按错三次码就有长达60秒的报警,更增加了保险 性,再加上码位长达1 2位数,保密性能极佳。 密码的输入控制端为13端。

当KB闭合,即13脚 。

6.基于单片机保险柜的指纹识别系统设计

这个很简单的 用单片机很容易实现 我给你这些资料 从中能找到你想要的

1.意义趋势:在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。密码锁具有安全性高、成本低、功耗低、易操作等优点。

在安全技术防范领域,具有防盗报警功能的电子密码锁逐渐代替传统的机械式密码锁,克服了机械式密码锁密码量少、安全性能差的缺点,使密码锁无论在技术上还是在性能上都获得了大大的提高。随着大规模集成电路技术的发展,特别是单片机的问世,出现了带微处理器的智能密码锁,它除具有电子密码锁的功能外,还引入了智能化管理、专家分析系统等功能,从而使密码锁具有很高的安全性、可靠性,应用日益广泛。

随着人们对安全的重视和科技的发展,许多电子智能锁(指纹识别、IC卡辨认)已在国内外相继面世。但是这些产品的特点是针对特定的指纹和有效卡,只能适用于保密要求的箱、柜、门等。而且指纹识识别器若在公共场所使用存在容易机械损坏,IC卡还存在容易丢失、损坏等缺点,再加上其成本较高,一定程度上限制了这类产品的普及和推广。鉴于目前的技术水平与市场的接受程度,电子密码锁是这类电子防盗产品的主流。

2.主要内容:

根据设定好的密码,采用4*4键盘实现密码的输入功能,当密码输入正确之后,锁就打开,如果输入的密码不正确,就锁定按键3秒钟,同时发出报警声。

(1)单片机型号可选AT89C51。

(2)6位密码的设定在程序中完成。

(3)密码的输入采用4*4键盘实现,输入6位数字后,按回车键结束。

(4)若输入的密码正确,锁打开,同时用发光二极管亮1秒作为提示。

(5)报警可采用蜂鸣器或扬声器。

可能问题需要自己理解

3.单片机,c语言编程。很成熟的技术,没有什么好分析的

楼主 下次这种题目要是悬赏的分高点 大家会很屁颠屁颠的给你做的

7.自动化专业密码锁毕业设计

摘要】本文的电子密码锁利用数字逻辑电路,实现对门的电子控制,并且有各种附加电路保证电路能够安全工作,具有极高的安全系数。

【关键词】电子密码锁、电压比较器、555单稳态电路、计数器、JK触发器、UPS电源。一、引言随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的亲呢。

设计本课题时构思了两种方案:一种是用以AT89C2051为核心的单片机控制方案;另一种是用以74LS112双JK触发器构成的数字逻辑电路控制方案。考虑到单片机方案原理复杂,而且调试较为繁琐,所以本文采用后一种方案。

二、总体方案设计1、设计思路共设了9个用户输入键,其中只有4个是有效的密码按键,其它的都是干扰按键,若按下干扰键,键盘输入电路自动清零,原先输入的密码无效,需要重新输入;如果用户输入密码的时间超过40秒(一般情况下,用户不会超过40秒,若用户觉得不便,还可以修改)电路将报警80秒,若电路连续报警三次,电路将锁定键盘5分钟,防止他人的非法操作。

8.电子密码锁设计

密码锁是锁的一种,开启时用的是一系列的数字或符号。

密码锁的密码通常都只是排列而非真正的组合。部分密码锁只使用一个转盘,把锁内的数个碟片或凸轮转动;亦有些密码锁是转动一组数个刻有数字的拨轮圈,直接带动锁内部的机械。

文字密码锁的分类:文字密码锁可分为:机械密码锁、数字密码锁等等。密码锁的设计:1、多拨式 最简单的密码锁,常见於低安全设定的单车锁,使用多个拨圈。

每个圈的中间有凹位。锁的中心的一条轴,上有数个凸出的齿,用来卡住拨圈。

当拨圈转到正确的密码组合,锁便可以打开。这种锁是最容易打开的。

很多这类锁根本无需知道密码都能开启。除非它的内部组件造得完美无瑕,否则只要把轴向外拉,其中一个齿便会比其他更为拉紧拨圈。

这时轮动被拉紧的拨圈,直至听到小小的「卡」声,表示这个齿已进入了正确的凹位。重覆这步骤,很快便可以把锁打开。

2、单一转盘式 用在挂锁或匣万上的密码锁可以是只有一个转盘。转盘推动背后数个平衡碟片或凸轮。

习惯上,开启这种锁时先把转盘顺时针转到第一个数字,然后反时针转到第二个数字,如此直至最后一个数字。凸轮上通常有凹位,当转入正确的密码后,各位成一直线,锁便可以打开。

这种密码锁较为安全,但亦非全无缺点。例如,部分密码挂锁可以拉紧锁头,然后转动转盘直至不能再动,这样便能把密码找出。

亦有些转盘密码锁的数字之间有特定关系,使到密码的组合可能性大为降低。廉价的密码挂锁可以用特别的衬片打开,而无需使用密码。

使用在匣万上的密码锁结构较为严密。有经验的锁匠可以一面旋转转盘,一面使用听筒小心聆听锁内凸轮的声音,判断可能的密码。

其他设计 有些门锁上有一个数字键盘,开启时按序键入一个数字系列。这种锁是使用电子控制,常见於办工室内。

优点是只要告诉员工密码便可,无须复制钥匙。不过,如果有人把密码告诉外人,这锁便形同虚设。

因此这类密码锁的密码需要经常更换才可以。电子]密码锁原理拨码盘式全机械密码锁原理 这是一种没有电子器件的大密钥量高可靠的全机械密码锁。

它的操作方式独特,类似老电话机的拨号――从拨盘的起点开始,顺时针转动拨盘到某一位数码,然后退回到起点,就输入了一位密码。如此重复直到输入了最后一位密码,再从起点逆时针转动拨盘就可以开锁。

在开锁的同时,内部已经复位,所以拨盘退回到起点关锁后,必须重新输入密码才能开锁,不需要考虑内部复位问题。如果输错了密码,逆时针转动拨盘(虚开锁)也可以内部复位,然后重新输入密码。

这种密码锁中,六位密码的可以有298万多组密码供主人随意变换,保密性极高。可选密码组是连续排列的,为选择容易记忆的密码提供了方便。

目前已开发的基本型产品,密码位数及密码量还可以根据用户的需求来重新设计。也可以为用户增加报警器接口。

这种密码锁的优点: 1、不用钥匙,不用电源,不用电池,没有废弃污染物。 2、全机械结构,能承受相当恶劣的外部环境,使用范围广。

3、操作简单,开锁时间比较短,平均开锁时间约15秒。 4、实际密码量大保密性能高,试探开锁的概率几乎为零。

5、结构简单,结实可靠。 从历史上技术发展的规律看,在功能相似的情况下,简单可靠的东西总是替代繁杂的东西,而它正是以简代繁的东西。

所以,它是大众化的实用性新产品,替代传统圆盘式机械密码锁和部分电子密码锁是应该的。 拨码盘式全机械密码锁适用于在保险柜、保险箱、文件柜、防磁防火柜、防盗门、银库门、仓库门、车门、民用门及机械卡阻机构上广泛使用。

它可以替代现有的传统圆盘式机械密码锁和部分电子密码锁。为某些产品的更新提供了契机。

由于电子技术的风靡,很多产品以采用了电子结构为荣。但在某些场所,电子产品并没有绝对的优势,反而是机械产品或机电混合产品更实用。

举两个例子:一是燃气灶的打火装置,早期是晶体管的点火器风靡一时,但它不耐潮湿,容易损坏,离不了电池。时间一长,反而是靠手扭动旋钮储能的机械(压电)打火装置显露出它的优越性--简单实用,成为燃气灶点火器的主流。

二是汽车的后视镜及公共汽车(单厢)的后门窥视器,都曾有被电子监视器替代的危险,但人们发现:传统的后视镜及公共汽车驾驶员上方的普通后视镜,观察效果并不比电子监视器差,更简单实用。 事实上,在传统的工业中,也能够找到比所谓的“新经济”更保险但是利润却毫不逊色的投资方向。

密码锁并不是一种频繁操作使用的产品,可以说是一种半静态的产品,采用机械方式更实用一些。但电子方式的密码量大,人们主观感觉会安全一些。

本专利产品的密码量接近电子密码锁,操作又比传统机械密码锁快得多,简单实用,可以象前述两例一样,有望成为高档次密码锁主流。

智能电子密码锁毕业设计论文

转载请注明出处众文网 » 智能电子密码锁毕业设计论文(要一份电子密码锁设计的论文3000字左右标准格式有摘要关键词和参)

资讯

毕业论文应该用word还是wps(写论文用wps还是word好用?)

阅读(79)

本文主要为您介绍毕业论文应该用word还是wps,内容包括写论文用wps还是word好用?,写论文wps好用还是word好用?,写论文用wps还是word。word好用。减少设置格式的时间,将主要精力集中于撰写文档。Microsoft Office Fluent 用户界面可在需要时提

资讯

网络安全与数字签名毕业论文(电子商务安全问题论文)

阅读(101)

本文主要为您介绍网络安全与数字签名毕业论文,内容包括电大计算机毕业论文要做个程序围绕程序写篇论,电子商务安全问题论文,有人给我一份关于电子商务安全的论文吗?谢谢。电子商务的发展已将全球的商务企业都推进到一场真的商业革命大潮中,

资讯

Fe基毕业论文周进展情况记录(武汉大学周怀北(Huai)

阅读(74)

本文主要为您介绍Fe基毕业论文周进展情况记录,内容包括武汉大学周怀北(HuaiBeiZhou),论文研究进度怎么写,夏,商,周以前的唐,虞是什么时代。周怀北 , 留美归国博士,博导, 教授 武汉大学国际软件学院院长武汉大学高科技研究与发展中心主任 武汉

资讯

感觉自己的毕业论文很烂(为什么觉得文章越写越烂了?)

阅读(88)

本文主要为您介绍感觉自己的毕业论文很烂,内容包括硕士论文很烂能过吗,硕士论文很烂能过吗,为什么觉得文章越写越烂了?。之所以会乏味,就是因为让人没有眼前一亮的感觉!特别是要写好议论文,首先要有自己独到的见解。要养成分析事物本质的犀利

资讯

知网毕业论文加脚注(论文中插入脚注会查重吗)

阅读(83)

本文主要为您介绍知网毕业论文加脚注,内容包括论文中插入脚注会查重吗,论文的注释角标怎么加,毕业论文到底用脚注还是尾注?尾注和参考文献的区别?。论文中的脚注不会查重的,查重主要是针对论文的正文部分。很多网站都可以做论文查重,但是学校

资讯

泰国留学生毕业论文题目(国贸毕业论文选题)

阅读(104)

本文主要为您介绍泰国留学生毕业论文题目,内容包括国外留学生论文如何选题?,vis设计有什么好的毕业论文选题?,国贸毕业论文选题。1. 国贸专业毕业论文题目 1. 后配额时代的中国纺织品出口 2. 中美(中欧)纺织品贸易争端之我见 3. 我国外贸企业

资讯

毕业论文纸质稿页边距(论文标准页边距是多少)

阅读(67)

本文主要为您介绍毕业论文纸质稿页边距,内容包括论文标准页边距是多少,毕业论文排版有什么要求?如:页边距、字体等,我要写论文,word里正文规定行间距1.5倍,页边距上3cm,下2cm,。论文页边距的要求为:上(T):2.5cm下(B):2.5cm左(L):2cm右(R):2cm。装订

资讯

毕业论文应该用word还是wps(写论文用wps还是word好用?)

阅读(79)

本文主要为您介绍毕业论文应该用word还是wps,内容包括写论文用wps还是word好用?,写论文wps好用还是word好用?,写论文用wps还是word。word好用。减少设置格式的时间,将主要精力集中于撰写文档。Microsoft Office Fluent 用户界面可在需要时提

资讯

网络安全与数字签名毕业论文(电子商务安全问题论文)

阅读(101)

本文主要为您介绍网络安全与数字签名毕业论文,内容包括电大计算机毕业论文要做个程序围绕程序写篇论,电子商务安全问题论文,有人给我一份关于电子商务安全的论文吗?谢谢。电子商务的发展已将全球的商务企业都推进到一场真的商业革命大潮中,

资讯

Fe基毕业论文周进展情况记录(武汉大学周怀北(Huai)

阅读(74)

本文主要为您介绍Fe基毕业论文周进展情况记录,内容包括武汉大学周怀北(HuaiBeiZhou),论文研究进度怎么写,夏,商,周以前的唐,虞是什么时代。周怀北 , 留美归国博士,博导, 教授 武汉大学国际软件学院院长武汉大学高科技研究与发展中心主任 武汉

资讯

感觉自己的毕业论文很烂(为什么觉得文章越写越烂了?)

阅读(88)

本文主要为您介绍感觉自己的毕业论文很烂,内容包括硕士论文很烂能过吗,硕士论文很烂能过吗,为什么觉得文章越写越烂了?。之所以会乏味,就是因为让人没有眼前一亮的感觉!特别是要写好议论文,首先要有自己独到的见解。要养成分析事物本质的犀利

资讯

毕业论文答辩记录内容怎么写(硕士学位论文答辩记录怎么写?)

阅读(96)

本文主要为您介绍毕业论文答辩记录内容怎么写,内容包括硕士学位论文答辩记录怎么写?,答辩论文怎么写,答辩时,论文研究内容及观点,要怎么说。硕士研究生答辩记录要求 研究生论文答辩记录,必须本着真实的原则,完整地记录答辩的程序和有关内容,准