毕业论文基于FPGA的数字钟(设计一个基于FPGA的数字时钟)

1.设计一个基于FPGA的数字时钟

秒计数器计数59后,分计数器+1,同时秒归0

以此类推。

当分计数器到59的时候,时计数器+1,同时分归0

以此类推。

当时计数器=23,分计数器=59,秒计数器=59时,全部复位为0

另外整点报时功能,需要加入一个信号ring signal(这个信号时接给蜂鸣器的),也就是当分计数器=59,秒计数器=59时。给出一个

ring signal=1,这个ring signal=1的信号要持续多久,就看你自己设计经过几个时钟周期,让其停止。

而音调的高低:可以给蜂鸣器送不同的电压来确定。

响几声的话:你可以设计成比如说,一个时钟周期,就是相当于你的2HZ的2秒钟

首先 ring signal =1 ,然后下一个时钟周期ring sianl=0,

再等于1,再等于0,

再等于1,再等于0,

再等于1,再等于0,

这样四声低的就完成了,然后下一声高的,你就可以用另外一个信号ring siangl2

持续一个时钟周期。ring signal2=1,再复位为0

注意ring signal 和ring signal2的信号电压要给的不同,保证音调高低

另外重要的是还需要一个模块来驱动数码管。就相当于说是告诉数码管在它显示1,2,3,4,5,6,7,8,9,0的时候,它的七段 灯是怎么样亮的。

而且数码管还分共阴共阳极。可以根据其情况来给它不同的使能信号。

至于你说的原理图,我想应该是代码综合出来的RTL级示意图吧。因为既然是试验箱就是不需要你自己设计硬件的。那RTL级的图的话,如果就我上面说的这些你还是没明白的话,可以再问我。我再抽时间给你画个图。 或者最好由代码来生成.

另外在上实验箱实现的时候,你可以参照实验箱的使用手册,来定义输出的管脚.注意管脚文件可以在你的编译软件里设置.关键看你用哪家公司的FPGA了.Xilinx的ISE,Altera的QUARTUS ii 。或者可以另外写UCF文件跟你的代码一起编译,管脚设定便自动生成了。

如果有问题你可以继续补充问题。乐意为你解答。

或者留下你的联系方式,我可以后续support 你。

楼上的兄弟,你有设计那是你的设计.你的设计是完全按照楼主的意思来量身订制的么?

你这种设计文档,网上一搜一大堆.有用么?

楼主是用实验箱来做的,试问你带的原理图是什么东西啊?schematic?layout?

只有让楼主知道设计原理是怎么样的,才能让他理解并做好自己的设计.懂?

基于单片机的数字钟毕业论文,数字钟设计毕业论文,基于51单片机的数字钟毕业论文

2.基于fpga数字时钟设计的主程序

如果你有兴趣,我把细节都给你module top( input clk, input rst, output CE, output SCLK, inout IO, output CS, output AO, output SCL, output SDI ); reg read_ds1302_start; wire read_ds1302_done; wire[23:0] read_ds1302_time; read_ds1302_time U1 (clk,rst,read_ds1302_start,read_ds1302_done,read_ds1302_time,CE,SCLK,IO); reg write_lcd_start; wire write_lcd_done; write_lcd_time U2 (clk,rst,CS,AO,SCL,SDI,write_lcd_start,write_lcd_done,read_ds1302_time); parameter T100ms = 21'd2_000_000; reg[20:0] count; always@(posedge clk,negedge rst) if(!rst) count <= 0; else if(count < T100ms) count <= count + 21'd1; else count <= 0;reg[1:0] i; reg[3:0] temp; always@(posedge clk,negedge rst) if(!rst) begin read_ds1302_start <= 0; write_lcd_start <= 0; i <= 0; end else case(i) 2'd0: if(count == T100ms) begin read_ds1302_start <= 1; i <= i + 2'd1; end 2'd1: begin read_ds1302_start <= 0; if(read_ds1302_done) if(read_ds1302_time[3:0] != temp) begin temp <= read_ds1302_time[3:0]; write_lcd_start <= 1; i <= i + 2'd1; end else i <= 0; end 2'd2: begin write_lcd_start <= 0; if(write_lcd_done) i <= 0; end endcase endmodule。

毕业论文,数字钟,FPGA

3.用cpld/fpga设计数字钟

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity count60 is port(jn,rd,clk:in std_logic; count1,count0:out std_logic_vector(3 downto 0); co:out std_logic); end count60; architecture count_arc of count60 is begin process(clk) variable cnt1,cnt0:std_logic_vector(3 downto 0); begin if clk'event and clk='1' then if jn='1' then if cnt1="0101" and cnt0="1000" then co 评论0 0 0。

毕业论文基于FPGA的数字钟

转载请注明出处众文网 » 毕业论文基于FPGA的数字钟(设计一个基于FPGA的数字时钟)

资讯

毕业论文的前言是怎么写的(论文前言写什么,该怎么写?)

阅读(69)

本文主要为您介绍毕业论文的前言是怎么写的,内容包括论文前言写什么,该怎么写?,毕业论文前言怎么写,毕业论文的前言怎么写?急需!!!!论文题目是《小学信息技术课程。前言是正文章之前的一章前言的写作应该包括研究综述,提出自己论文的研究范

资讯

大专毕业学前教育论文范文(学前教育论文范文)

阅读(61)

本文主要为您介绍大专毕业学前教育论文范文,内容包括学前教育论文范文,学前教育论文范文,寻求1篇5000字左右的学前教育毕业论文。看你能不能用得到了 学前教育毕业论文范文。因此、音乐.5ykj、二度空间的概念等、选择的教学内容:http,教师可

资讯

通过那个软件硕士毕业论文购买(那个论文查重系统最便宜)

阅读(65)

本文主要为您介绍通过那个软件硕士毕业论文购买,内容包括你好,我是一名研究生,写论文要用到spss和office办公软件,那买,硕士毕业论文里用到的软件必须学校有购买版权吗?,硕士研究生论文能买到么?。随着经济的发展,目前互联网行业也在不断发

资讯

重庆化工职业学院毕业设计论文(化工类毕业设计)

阅读(47)

本文主要为您介绍重庆化工职业学院毕业设计论文,内容包括化工类毕业设计,智能抢答器的论文怎么写?,煤化工专业的论文。毕业设计(论文)是学生毕业前最后一个重要学习环节,是学习深化与升华的重要过程。它既是学生学习、研究与实践成果的全面总

资讯

关于钢铁企业毕业论文(求一钢铁企业有关的论文?)

阅读(62)

本文主要为您介绍关于钢铁企业毕业论文,内容包括求一钢铁企业有关的论文?,求一篇钢厂论文,求一篇<<ERP在钢铁企业应用>>的本科论文6000字左右即可。首先,钢铁企业的安全生产是保证企业不断发展的必然要求。安全是企业之本。企业的不断发展壮

资讯

大学生毕业论文怎么做(论文如何做一名合格的大学生)

阅读(78)

本文主要为您介绍大学生毕业论文怎么做,内容包括论文如何做一名合格的大学生,大学毕业论文怎么写,大学毕业论文步骤?哪些要求?。第一,以诚待人,以责人之心责己、以恕己之心恕人。对别人要抱着诚挚、宽容的胸襟,对自己要怀着自我批评、有过必改

资讯

毕业论文必须用自己话吗(写大学论文具体需要哪些步骤)

阅读(62)

本文主要为您介绍毕业论文必须用自己话吗,内容包括毕业论文怎么写?是要用自己话写吗?感觉好难啊没有话说?还有哪种,毕业论文用自己的话表达算抄袭吗,论文基本是用自己的话写的,没有引用其他书刊的参考可以吗?搜狗。你的论文准备往什么方向

资讯

毕业论文不需要注释可以吗(写论文必须得有注释么?)

阅读(84)

本文主要为您介绍毕业论文不需要注释可以吗,内容包括写论文必须得有注释么?,毕业论文正文里必须有注释吗?光有参考文献是不行吗?,请问写论文必须要插入注释吗?。那要看是什么论文了。很正规的比如毕业论文、发表到期刊的论文等都要求写上注

资讯

大学生婚恋观研究毕业论文(关于大学生婚恋观的论文)

阅读(59)

本文主要为您介绍大学生婚恋观研究毕业论文,内容包括关于大学生婚恋观的论文,大学生爱情观的论文,一篇关于大学生人际交往和恋爱观的论文。我国当代大学生的婚恋观调查设计方案象牙塔中,大学生恋爱早已不是讳莫如深的话题。留心的人们会发现

资讯

大学毕业选择考研的论文(求考研与就业的论文3000字论文)

阅读(76)

本文主要为您介绍大学毕业选择考研的论文,内容包括求考研与就业的论文3000字论文,大学毕业的论文跟考研有关系吗,论文题目:关于大学生就业与考研选择急求开题报告怎么写跪。考研与就业 考验与就业对于大学生来讲,虽然并不是一个去此即彼的二

资讯

毕业论文的前言是怎么写的(论文前言写什么,该怎么写?)

阅读(69)

本文主要为您介绍毕业论文的前言是怎么写的,内容包括论文前言写什么,该怎么写?,毕业论文前言怎么写,毕业论文的前言怎么写?急需!!!!论文题目是《小学信息技术课程。前言是正文章之前的一章前言的写作应该包括研究综述,提出自己论文的研究范

资讯

通过那个软件硕士毕业论文购买(那个论文查重系统最便宜)

阅读(65)

本文主要为您介绍通过那个软件硕士毕业论文购买,内容包括你好,我是一名研究生,写论文要用到spss和office办公软件,那买,硕士毕业论文里用到的软件必须学校有购买版权吗?,硕士研究生论文能买到么?。随着经济的发展,目前互联网行业也在不断发

资讯

毕业论文论企业文化和企业家精神(关于企业文化精神的论文)

阅读(65)

本文主要为您介绍毕业论文论企业文化和企业家精神,内容包括关于企业文化精神的论文,谁能给我一篇关于企业家文化和企业家精神的论文啊,哥们等着急用!,企业家精神与企业文化《400》字论述。原发布者:中国学术期刊网企业文化论文:浅谈企业文化